Wamkelekile kwiiwebhusayithi zethu!

FPGA Intel Arria-10 GX series MP5652-A10

Inkcazelo emfutshane:

I-DDR4 SDRAM: 16GBDDR4 Ulwakhiwo ngalunye lwe-16bit lobubanzi be-bit ye-64bit

QSPI Flash: Iqhekeza le-1GBQSPIFLASH, esetyenziselwa ukugcina ifayile yoqwalaselo lwetshiphu yeFPGA.

I-FPGA Bank: i-adjustable 12V, 18V, 2.5V, 3.0V inqanaba, ukuba ufuna ukutshintsha inqanaba, kufuneka utshintshe kuphela


Iinkcukacha zeMveliso

Iithegi zeMveliso

Isishwankathelo

FPGA Intel Arria-10 GX uthotho MP5652-A10 (3)
  • Inqanaba le-interface: Indawo ehambelana nayo inokulungiswa ngamaso amagnetic.
  • Umbane webhodi engundoqo: Umbane we-5-12V uvelisa umbane ombini nge-T1 chip LTM4628 ukuhlangabezana neemfuno zangoku zeFPGA.
  • Indlela yokuqalisa ibhodi engundoqo: JTAG, QSPIFLASH
  • Inkcazo yonyawo lwetyhubhu edityanisiweyo: 4 izandiso zesantya esiphezulu, 120pin Panasonic AXK5A2137yg
  • I-interface ye-SFP yeplate engezantsi: Iimodyuli ze-4 ze-optical zinokufikelela kwi-high-speed optical fiber communication, kunye nesantya ukuya kwi-10GB / s.
  • Iwotshi ye-Fave Plate GXB: Ipleyiti esezantsi ibonelela ngewotshi yereferensi ye-200MHz ye-GXB transceiver
  • Ipleyiti esezantsi 40 -inaliti ulwandiso: igcinwe 2 2.54mm standard 40 -pin ukwandiswa J11 kunye J12, esetyenziselwa ukudibanisa iimodyuli eziyilwe yinkampani okanye imodyuli umsebenzi wesekethe eyilwe ngumsebenzisi ngokwabo.

Ulwazi oluneenkcukacha

  • Iwotshi yepleyiti engundoqo: imithombo yewotshi emininzi ebhodini.Oku kuquka i-100MHz umthombo wewotshi yenkqubo 510kba100M000bag CMOS ikristale 125MHz Transceiver Differential Clock Sittaid Sit9102 Crystal 300MHz DDR4 yangaphandle umahluko iwotshi umthombo SIT9102 crystal.
  • JTAG debug port: MP5652 core board ine-6PIN patch JTAG download debugging interface.
  • Ilungele abasebenzisi ukulungisa ingxaki yeFPGA ngokwahlukeneyo.
  • Ukusetwa kwakhona kwenkqubo: Kwangaxeshanye, iqhosha likwabonelela ngenkqubo ngebhodi engundoqo yokuseta kwakhona i-MP5652 ukuxhasa umbane wokuseta kwakhona.Itshiphu yonke iphinda ibekwe ngokutsha.
  • I-LED: Kukho izibane ezi-4 ezibomvu ze-LED kwibhodi engundoqo, enye yazo yi-DDR4 isalathisi samandla esalathiso.
  • Iqhosha kunye nokutshintsha: Kukho izitshixo ezi-4 kwipleyiti engezantsi, edityaniswe nonyawo lombhobho ohambelanayo kwisidibanisi se-J2.
  • Ngokuqhelekileyo umgangatho ophezulu, ucinezela kwinqanaba eliphantsi

Ii-FAQs

Q1.Yintoni efunekayo kwikowuteshini?

A: I-PCB: Ubuninzi, ifayile yeGerber kunye neemfuno zeTekhnoloji (izinto eziphathekayo, unyango lokugqiba umphezulu, ubukhulu bobhedu, ubukhulu bebhodi, ...).
I-PCBA: Ulwazi lwe-PCB, i-BOM, (Amaxwebhu okuvavanya ...).

Q2.Zeziphi iifomati zefayile ozamkelayo kwimveliso?

A: ifayile Gerber: CAM350 RS274X
Ifayile yePCB: Protel 99SE, P-CAD 2001 PCB
BOM: Excel (PDF, igama, txt).

Q3.Ngaba iifayile zam zikhuselekile?

A: Iifayile zakho zigcinwe ngokhuseleko olupheleleyo kunye nokhuseleko.Sikhusela ipropathi yengqondo kubathengi bethu kuyo yonke inkqubo.

Q4.MOQ?

A: Akukho MOQ.Siyakwazi ukuphatha iNcinci kunye nemveliso yevolumu enkulu kunye nokuguquguquka.

Q5.Imali yohambisa ngenqanawe?

A: Iindleko zokuthumela zichongwa ngendawo ekuyiwa kuyo, ubunzima, ubungakanani bokupakisha iimpahla.Nceda usazise ukuba ufuna ukuba sikucaphule iindleko zokuthumela.

Q6.Ngaba uyazamkela izixhobo zenkqubo ezibonelelwa ngabathengi?

A: Ewe, sinokubonelela ngomthombo wecandelo, kwaye sikwamkele icandelo kumxhasi.


  • Ngaphambili:
  • Okulandelayo:

  • Bhala umyalezo wakho apha kwaye uwuthumele kuthi