Wamkelekile kwiiwebhusayithi zethu!

Iimveliso

  • Ubudlelwane phakathi kwepleyiti yelaphu yePCB kunye ne-EMC

    Ubudlelwane phakathi kwepleyiti yelaphu yePCB kunye ne-EMC

    Isikhokelo: Ukuthetha ngobunzima bokutshintsha kombane, ingxaki yeplate yelaphu yePCB ayinzima kakhulu, kodwa ukuba ufuna ukuseta ibhodi yePCB elungileyo, umbane otshintshayo kufuneka ube ngomnye weengxaki (uyilo lwePCB alulungile, enokubangela ukuba kungakhathaliseki ukuba ulungisa njani i-debugging Iiparamitha zilungisa ilaphu.. Oku akusiyo i-alarmist), kuba kukho izinto ezininzi eziqwalasela iibhodi zelaphu ze-PCB, ezifana nokusebenza kombane, umzila wenkqubo, iimfuno zokhuseleko, i-EMC eff...
  • Inqaku elinye liyaqonda |Yintoni isiseko sokhetho lwenkqubo yokucubungula umphezulu kwifektri yePCB

    Inqaku elinye liyaqonda |Yintoni isiseko sokhetho lwenkqubo yokucubungula umphezulu kwifektri yePCB

    Eyona njongo isisiseko PCB unyango umphezulu kukuqinisekisa weldability elungileyo okanye iimpawu zombane.Ngenxa yokuba ubhedu kwindalo luhlala lukhona ngendlela ye-oxides emoyeni, akunakwenzeka ukuba lugcinwe njengobhedu lwasekuqaleni ixesha elide, ngoko kufuneka luphathwe ngobhedu.Zininzi iinkqubo zonyango lwe-PCB lomphezulu.Izinto eziqhelekileyo ziyi-flat, i-organic welded protective agents (OSP), i-full-board nickel-plated gold, i-Shen Jin, i-Shenxi, i-Shenyin, i-nickel yeekhemikhali, igolide, kunye nokukhethwa ...
  • Funda malunga newotshi kwi-PCB

    Funda malunga newotshi kwi-PCB

    1. I-Layout a, i-crystal clock kunye neesekethe ezinxulumene nazo kufuneka zicwangciswe kwindawo ephakathi ye-PCB kwaye ibe nokwakheka okulungileyo, kunokuba isondele kwi-interface ye-I / O.Isekethe yokuvelisa iwashi ayinakwenziwa kwikhadi lentombi okanye ifom yebhodi yentombi, kufuneka yenziwe kwibhodi yewotshi eyahlukileyo okanye ibhodi yokuthwala.Njengoko kubonisiwe kulo mzobo ulandelayo, inxalenye yebhokisi eluhlaza yomaleko olandelayo ilungile ukuba ingahambi umgca b, kuphela izixhobo ezinxulumene nesekethe yewotshi kwisekethe yewotshi yePCB a...
  • Gcina la manqaku PCB wiring engqondweni

    Gcina la manqaku PCB wiring engqondweni

    1. Ukwenziwa ngokubanzi Kuyilo lwePCB, ukwenzela ukuba uyilo lwebhodi yesekethe ephezulu lube nengqiqo ngakumbi, ukusebenza ngcono kokuchasana nokuphazamiseka, kufuneka kuthathelwe ingqalelo kule miba ilandelayo: (1) Ukukhetha okunengqiqo kweeleya Xa uhambisa iibhodi zesekethe ze-high-frequency. kuyilo lwePCB, inqwelomoya yangaphakathi embindini isetyenziswa njengamandla kunye nomgangatho womhlaba, onokudlala indima ekhuselayo, ukunciphisa ngokufanelekileyo i-parasitic inductance, mfutshane ubude bemigca yesiginali, kwaye unciphise umnqamlezo ...
  • Ngaba uyayiqonda imithetho emibini yoyilo olulaminethiweyo lwePCB?

    Ngaba uyayiqonda imithetho emibini yoyilo olulaminethiweyo lwePCB?

    1. Umaleko ngamnye womzila kufuneka ube nereferensi esondeleyo (umbane okanye ukwakheka);2.Umaleko wamandla ophambili osondeleyo kunye nomhlaba kufuneka ugcinwe kumgama omncinci ukubonelela nge-capacitance enkulu yokudibanisa;Oku kulandelayo ngumzekelo we-stack-maleko amabini ukuya kwi-8-layer stack: Ibhodi ye-PCB ye-A.icala elinye kunye nebhodi ye-PCB ephindwe kabini ye-laminated Kumaleko amabini, ngenxa yokuba inani leeleya lincinci, akukho ngxaki ye-lamination.Ulawulo lwemitha ye-EMI luqwalaselwa ikakhulu kwi-wiring kunye...
  • Ulwazi olubandayo

    Ulwazi olubandayo

    Yintoni umbala webhodi ye-PCB, njengoko igama libonisa, xa ufumana ibhodi ye-PCB, eyona nto intuitive ukubona umbala weoli ebhodini, oko kukuthi, sibhekisela ngokubanzi kumbala webhodi ye-PCB, imibala eqhelekileyo ziluhlaza, zibhlowu, zibomvu namnyama njalo njalo.Aba balandelayo beXiaobian babelana ngokuqonda kwabo ngemibala eyahlukeneyo.I-1, i-inki eluhlaza yeyona nto isetyenziswa kakhulu, isiganeko eside kakhulu sembali, kwaye kwimarike yangoku iyeyona inexabiso eliphantsi, ngoko uhlaza lusetyenziswa linani elikhulu le-manuf...
  • Malunga nezixhobo ze-DIP, abantu bePCB abanye abatshicele umngxuma okhawulezayo!

    Malunga nezixhobo ze-DIP, abantu bePCB abanye abatshicele umngxuma okhawulezayo!

    I-DIP yi-plug-in.Iichips ezipakishwe ngolu hlobo zinemiqolo emibini yezikhonkwane, ezinokudityaniswa ngokuthe ngqo kwiisokethi ze-chip kunye nesakhiwo se-DIP okanye i-welded kwi-welding positions ezinenani elifanayo lemingxuma.Kuyinto elula kakhulu ukuba uqaphele PCB ibhodi perforation welding, kwaye iyahambelana kakuhle motherboard, kodwa ngenxa yendawo yokupakisha yayo kunye nobukhulu zinkulu ngokwentelekiso, kwaye isikhonkwane kwinkqubo yokufakwa kunye nokususwa kulula ukuba yonakaliswe, ukuthembeka kakubi.I-DIP yeyona plu idumileyo...
  • 1oz ukutyeba kobhedu PCBA iBhodi Manufacturer HDI izixhobo zonyango PCBA Multilayer Circuit PCBA

    1oz ukutyeba kobhedu PCBA iBhodi Manufacturer HDI izixhobo zonyango PCBA Multilayer Circuit PCBA

    IiNgcaciso eziPhambili/ Iimpawu eziKhethekileyo:
    1oz Copper Ukutyeba PCBA Board Manufacturer HDI izixhobo zonyango PCBA Multilayer Circuit PCBA.

  • Inverter yokugcina amandla PCBA Indibano yebhodi yesekethe eprintiweyo yee-inverters zokugcina amandla

    Inverter yokugcina amandla PCBA Indibano yebhodi yesekethe eprintiweyo yee-inverters zokugcina amandla

    1. Ukutshaja okukhawulezileyo: unxibelelwano oludibeneyo kunye ne-DC two-way transformation

    2. Ukusebenza okuphezulu: Yamkela uyilo lwetekhnoloji ephezulu, ilahleko ephantsi, ukufudumeza okuphantsi, ukonga amandla ebhetri, ukwandisa ixesha lokukhutshwa.

    3. Umthamo omncinci: uxinano lwamandla aphezulu, indawo encinci, ubunzima obuphantsi, amandla aqinileyo okwakhiwa, alungele usetyenziso oluphathwayo kunye neselula.

    4. Ukuguquguquka okuhle komthwalo: imveliso 100/110/120V okanye 220/230/240V, 50/60Hz sine wave, umthamo owomeleleyo wokulayisha, ulungele izixhobo ezahlukeneyo ze-IT, izixhobo zombane, izixhobo zasekhaya, musa ukukhetha umthwalo.

    5. Uluhlu lwamaza ombane ombane obanzi kakhulu: Igalelo lamandla ombane elibanzi kakhulu 85-300VAC (inkqubo ye-220V) okanye inkqubo ye-70-150VAC 110V) kunye ne-40 ~ 70Hz uluhlu lokufakwa kwamaza, ngaphandle koloyiko lokusingqongileyo kwamandla

    6. Ukusebenzisa iteknoloji yokulawula idijithali ye-DSP: Yamkela iteknoloji yokulawula idijithali ye-DSP, ukhuseleko olugqibeleleyo, oluzinzileyo noluthembekileyo.

    7. Uyilo lwemveliso ethembekileyo: yonke ibhodi yeglasi enamacala amabini, idityaniswe nenxalenye enkulu ye-span, eyomeleleyo, ukumelana nomhlwa, iphucula kakhulu ukuguquguquka kokusingqongileyo.

  • FPGA Intel Arria-10 GX series MP5652-A10

    FPGA Intel Arria-10 GX series MP5652-A10

    Iimpawu eziphambili ze-Arria-10 GX series ziquka:

    1. Ubuninzi obuphezulu kunye nomgangatho ophezulu wokusebenza kwengqondo kunye nezixhobo ze-DSP: I-Arria-10 GX FPGAs inikezela ngenani elikhulu lezinto ezinengqondo (LEs) kunye neebhloko ze-digital signal processing (DSP).Oku kuvumela ukuphunyezwa kwee-algorithms ezinzima kunye noyilo oluphezulu.
    2. Ii-transceivers zesantya esiphezulu: Uluhlu lwe-Arria-10 GX lubandakanya ii-transceivers zesantya esiphezulu ezixhasa iiprotocol ezahlukeneyo ezifana ne-PCI Express (PCIe), i-Ethernet, kunye ne-Interlaken.Ezi transceivers zingasebenza kumazinga edatha ukuya kwi-28 Gbps, eyenza unxibelelwano lwedatha olukhawulezayo.
    3. I-interfaces yememori yesantya esiphezulu: I-Arria-10 GX FPGAs ixhasa i-memory interfaces ezahlukeneyo, kuquka i-DDR4, i-DDR3, i-QDR IV, kunye ne-RLDRAM 3. Ezi zixhobo zibonelela ngokufikelela kwi-bandwidth ephezulu kwizixhobo zememori zangaphandle.
    4. Iprosesa edibeneyo ye-ARM Cortex-A9: Amanye amalungu ochungechunge lwe-Arria-10 GX abandakanya iprosesa edibeneyo ye-ARM ye-ARM Cortex-A9 edibeneyo, ebonelela ngenkqubo engaphantsi kwamandla kwizicelo ezifakwe ngaphakathi.
    5. Iimpawu zokudityaniswa kwenkqubo: I-Arria-10 GX FPGAs ibandakanya i-peripherals ye-chip kunye ne-interfaces, njenge-GPIO, i-I2C, i-SPI, i-UART, kunye ne-JTAG, ukuququzelela ukuhlanganiswa kwenkqubo kunye nokunxibelelana namanye amacandelo.
  • FPGA Xilinx K7 Kintex7 PCIe unxibelelwano lwefiber optical

    FPGA Xilinx K7 Kintex7 PCIe unxibelelwano lwefiber optical

    Nantsi isishwankathelo samanyathelo abandakanyekayo:

    1. Khetha imodyuli efanelekileyo ye-optical transceiver: Kuxhomekeke kwiimfuno ezithile zenkqubo yakho yonxibelelwano lwamehlo, kuya kufuneka ukhethe imodyuli ye-optical transceiver exhasa ubude obufunekayo, izinga ledatha, kunye nezinye iimpawu.Izinketho eziqhelekileyo ziquka iimodyuli ezixhasa i-Gigabit Ethernet (umzekelo, iimodyuli ze-SFP / SFP +) okanye imigangatho yonxibelelwano ye-optical ephezulu (umzekelo, iimodyuli ze-QSFP / QSFP +).
    2. Xhuma i-transceiver ye-optical kwi-FPGA: I-FPGA ngokuqhelekileyo idibanisa kunye nemodyuli ye-transceiver optical ngokusebenzisa i-serial-speed serial links.Ii-transceivers ezihlanganisiweyo zeFPGA okanye izikhonkwane ze-I/O ezizinikeleyo ezilungiselelwe unxibelelwano olukhawulezayo lwe-serial zingasetyenziselwa le njongo.Kuya kufuneka ulandele idatha yemodyuli yetransceiver kunye nezikhokelo zoyilo lwereferensi ukuyidibanisa ngokufanelekileyo kwiFPGA.
    3. Phumeza iiprothokholi eziyimfuneko kunye nokusetyenzwa komqondiso: Nje ukuba uqhagamshelo lomzimba lusekiwe, kuya kufuneka uphuhlise okanye ulungiselele iiprothokholi eziyimfuneko kunye ne-algorithms yokusetyenzwa komqondiso wogqithiso lwedatha kunye nolwamkelo.Oku kunokubandakanya ukuphumeza i-protocol ye-PCIe eyimfuneko yonxibelelwano kunye nenkqubo yokusingatha, kunye nayo nayiphi na i-algorithms yokulungiswa kwesignali eyongezelelweyo efunekayo kwi-encoding / decoding, imodulation / demodulation, ukulungiswa kwempazamo, okanye eminye imisebenzi ethile kwisicelo sakho.
    4. Hlanganisa ne-PCIe interface: I-Xilinx K7 Kintex7 FPGA inomlawuli we-PCIe eyakhelweyo evumela ukuba inxibelelane nenkqubo yokusingatha usebenzisa ibhasi ye-PCIe.Kuya kufuneka uqwalasele kwaye uhlengahlengise ujongano lwePCIe ukuhlangabezana neemfuno ezithile zenkqubo yakho yonxibelelwano lwamehlo.
    5. Uvavanye kwaye uqinisekise unxibelelwano: Nje ukuba luphunyeziwe, kuya kufuneka uvavanye kwaye uqinisekise ukusebenza konxibelelwano lwefiber optical fiber usebenzisa izixhobo ezifanelekileyo zovavanyo kunye neendlela.Oku kunokubandakanya ukuqinisekisa izinga ledatha, izinga lempazamo encinci, kunye nokusebenza kwenkqubo iyonke.
  • I-FPGA XILINX-K7 KINTEX7 XC7K325 410T Ibakala loShishino

    I-FPGA XILINX-K7 KINTEX7 XC7K325 410T Ibakala loShishino

    Imodeli epheleleyo: FPGA XILINX-K7 KINTEX7 XC7K325 410T

    1. Uchungechunge: I-Kintex-7: Ii-FPGA ze-Xilinx ze-Kintex-7 zenzelwe izicelo eziphezulu zokusebenza kwaye zinika ibhalansi elungileyo phakathi kokusebenza, amandla kunye nexabiso.
    2. Isixhobo: XC7K325: Oku kubhekiselele kwisixhobo esithile ngaphakathi koluhlu lweKintex-7.I-XC7K325 yenye yezinto ezahlukeneyo ezikhoyo kolu luhlu, kwaye inika iinkcukacha ezithile, kubandakanywa umthamo weseli ye-logic, izilayi ze-DSP, kunye ne-I / O count.
    3. Umthamo wengqiqo: I-XC7K325 inomthamo weseli ye-logic ye-325,000.Iiseli ezinengqondo ziibhloko zokwakha ezicwangcisekileyo kwiFPGA enokuthi iqwalaselwe ukuphumeza iisekethe zedijithali kunye nemisebenzi.
    4. Izilayi ze-DSP: Izilayi ze-DSP zizixhobo ze-hardware ezizinikeleyo ngaphakathi kwe-FPGA elungiselelwe imisebenzi yokucwangcisa umqondiso wedijithali.Inani elichanekileyo lezilayi ze-DSP kwi-XC7K325 zinokwahluka ngokuxhomekeke kuhlobo oluthile.
    5. I / O Bala: "410T" kwinombolo yomzekelo ibonisa ukuba i-XC7K325 inezikhonkwane ze-410 zomsebenzisi we-I / O.Ezi zikhonkwane zingasetyenziselwa ukujongana nezixhobo zangaphandle okanye ezinye iisekethe zedijithali.
    6. Ezinye iimpawu: I-XC7K325 FPGA ingaba nezinye iimpawu, ezifana neebhloko zememori ezidibeneyo (i-BRM), ii-transceivers ezikhawulezayo zokunxibelelana kwedatha, kunye neendlela ezahlukeneyo zokucwangcisa.